导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→嵌入式系统 第241页

当前论坛版面:嵌入式系统 今日贴子 0      提示:没有登陆的用户不能看到还没有审核的帖子

发新帖   发起新投票 老古 huzimax 哈佛 君主 
  protel DXP
      作者: [skeyy] 时间:[2004年8月19日 8:54:33]
      摘要:
请问为什么我在PROTEL DXP里画的图在生成PCB的时候有一个CPU找不到了?别的期间都在!请高手指教,谢谢!
  4510的中断优先级寄存器
      作者: [含笑杨] 时间:[2004年8月18日 22:39:54]
      摘要:
在S3C4510中有一个中断优先级寄存器,我不知道这个东西怎么用的?哪位大虾知道的麻烦告知小弟一下,在哪里可以找到这个相关的资料呢?在《ARM 开发详解中》中没有讲到怎么用?它是不是指每一个中断的优先
  [紧急求助]关于rtl8019as
      作者: [guest] 时间:[2004年8月18日 22:17:46] 回复:[3条]
      最后回复: 标题:[loopback只能接...] 回复人:[hongbo] 回复时间:[2004-8-19 10:58:31]
      摘要:
[求助]关于rtl8019as 新手呼救:我用rtl8019as和dsp开发嵌入式网络接口,16位的数据接口,按照rtl8019as的数据手册上提供的环回设置,但读回的fifo的数据全部没有高8位数
  请教:cpld双向口的三态问题
      作者: [尘埃灰灰] 时间:[2004年8月18日 21:32:57] 回复:[2条]
      最后回复: 标题:[谢谢回复在vh...] 回复人:[尘埃灰灰] 回复时间:[2004-8-20 11:24:36]
      摘要:
最近做cpld的程序时候遇到双向口的问题。对于双向口该如何的使用不甚了解。 程序老是出错 哪位大侠可以指点一下,有没有相关的较为具体的文档 谢过了
  大家推荐几种双端输入输出的运放吧
      作者: [Snowdrinker] 时间:[2004年8月18日 18:33:42] 回复:[1条]
      最后回复: 标题:[精度要求,是否...] 回复人:[guest] 回复时间:[2004-8-18 19:16:34]
      摘要:
用来做差分语音信号放大,各位赐教哦 :)[em06]
  关于网络表
      作者: [Snowdrinker] 时间:[2004年8月18日 16:32:52]
      摘要:
知道了,我真weak :(
  SDT下建立库文件
      作者: [guest] 时间:[2004年8月18日 14:46:34]
      摘要:
我用SDT建立库文件,在编译时出现两个错误,请教是怎么回事,是不是前面哪里参数设置不对。错误如下: Error: "E:\sd\project1.apj"; Bad return
  求rtos
      作者: [SHHAHA] 时间:[2004年8月18日 14:21:32]
      摘要:
8任务实时操作系统 基于摩托罗啦 hc08系列
  有关CF卡
      作者: [exker] 时间:[2004年8月18日 11:04:40] 回复:[1条]
      最后回复: 标题:[俺也感兴趣,哈...] 回复人:[DellXP] 回复时间:[2004-9-16 12:50:25]
      摘要:
各位大侠,偶最近急需CF卡的资料,有的给偶来一份,没有的也来顶一下了,先谢了!elong_cn@eyou.com
  请教贴片电阻的问题
      作者: [小夏] 时间:[2004年8月18日 10:56:47] 回复:[1条]
      最后回复: 标题:[products of ya...] 回复人:[天好高] 回复时间:[2004-8-18 16:49:16]
      摘要:
我选用的是1206的贴片电阻,外表是黑底白字,如:一百K标“104”。发现精度比较差,请大侠们推荐精度高一些的1206型的型号或品牌。谢谢!
  武汉自己DIY的S3C4510B和S3C44B0X板子图片展示!
      作者: [mhh3618] 时间:[2004年8月18日 6:39:37]
      摘要:
最新贴出DIY的S3C4510B和S3C44B0X板子照片,MP3播放器照片,usb学习板,51学习板照片,以及一些DIY板子的PCB截图等,进入网址:http://armdiy.91x.net 点击
  欢迎大家光临中国单片机世界论坛
      作者: [mcuw] 时间:[2004年8月17日 10:09:47]
      摘要:
大家好,欢迎光临中国单片机世界论坛 http://www.mcuw.com/bbs/
  怎么反编译从isPLSI1016读出的JED文件?
      作者: [oxfiend] 时间:[2004年8月16日 22:12:46]
      摘要:
无内容
  问个Verilog的问题?
      作者: [shess] 时间:[2004年8月16日 15:23:39] 回复:[3条]
      最后回复: 标题:[使用‘include ...] 回复人:[jessesung] 回复时间:[2004-8-19 9:40:14]
      摘要:
刚学习用HDL Turbo Writer时间不长,呵呵,工具还不怎么会用,问个弱问题,不吝赐教丫! 我知道用VHDL编程时有USE LIBRARY,可以调用一些库函数。但是用Verilog的话,怎样
  嵌入式数字信号处理软件开发
      作者: [sfranson] 时间:[2004年8月16日 14:37:40]
      摘要:
上海迅嵌实验室 http://www.quickembed.com 嵌入式数字信号处理 音频视频编码算法源代码和DSP实现软件 MPEG4 GSM AMR C5x C6x XScale PX
  请教各位大虾:关于8139问题
      作者: [guest] 时间:[2004年8月16日 14:26:25] 回复:[1条]
      最后回复: 标题:[8139s IO is ...] 回复人:[天好高] 回复时间:[2004-8-18 16:55:08]
      摘要:
请教一个问题,8139网卡芯片的+3.3V如何和单片机+5连接! 各位高手,帮帮忙啊!我先在在做一个8139的RJ45接口,大家有没有相应的资料,能提供一点吗?不胜感激!! 我的EMAIL:cro
  新人问个protel问题
      作者: [SkellyChen] 时间:[2004年8月16日 11:14:52]
      摘要:
新人求教protel问题! 我刚学protel没多久 最近要在gal上做1个时序控制的电路 大家都知道gal有3种模式 simple,complex和register模式 regis
  [求教]生成PCB之前如何快速准确的定义该板?
      作者: [lollve] 时间:[2004年8月15日 18:39:17] 回复:[1条]
      最后回复: 标题:[什么意思,说的...] 回复人:[jessesung] 回复时间:[2004-8-19 9:41:51]
      摘要:
[em07]
  [求助]那位大哥有winbond 的w83977f-a芯片的中文资料
      作者: [guest] 时间:[2004年8月14日 16:03:24]
      摘要:
请把资料发到wolka_fz@yahoo.com.cm谢!不胜感激!!!
  一个PLC学习网站,   有电子以既多方面资料.
      作者: [zqsplc] 时间:[2004年8月14日 15:50:45]
      摘要:
www.zqsplc.com

每页20 帖子数7096分页:
(7096条/共355页 每页20条) 首页 前百页 前十页 [236] [237] [238] [239] [240] [第241页] [242] [243] [244] [245] [246] 下十页 下百页 尾页