导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→嵌入式系统 第253页

当前论坛版面:嵌入式系统 今日贴子 0      提示:没有登陆的用户不能看到还没有审核的帖子

发新帖   发起新投票 老古 huzimax 哈佛 君主 
  sopcBuilder中怎样设置stripe为master
      作者: [ihczhang] 时间:[2004年6月8日 16:02:33]
      摘要:
我在使用sopcB的时候,添加了ARM-Excaliber-stripe,AHB-to-Avalon-bridge,为什么他老是提示我后者没有连接到AHB类型的master上,,我都要崩溃了,不知
  哪位大虾有关于嵌入式系统的资料,最好是中英文都有的,请给小妹一份.谢谢
      作者: [phenixicy] 时间:[2004年6月7日 19:53:53]
      摘要:
[B][/B]
  DSP CPLD技术交流
      作者: [yochking] 时间:[2004年6月7日 15:39:37]
      摘要:
欢迎交流DSP CPLD技术 另提供学习板交流 CPLD+51 学习套件: CPU(89c52)+ALTERA(epm7128)+XILINX(xl9572) 配套VHDL源码+下载电缆
  求助!本人学习时遇到一个问题,请各位大侠指教!
      作者: [chuxuezhe] 时间:[2004年6月7日 9:08:46]
      摘要:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity sync is po
  这里有将无线网卡集成在嵌入式系统上的吗?
      作者: [noface] 时间:[2004年6月6日 21:57:33] 回复:[1条]
      最后回复: 标题:[linux,wince下都...] 回复人:[devicegate] 回复时间:[2005-12-21 7:42:42]
      摘要:
我想将无线网卡集成在自己的系统上,不知道这里有没有做过这方面课题的高手,想请教一些问题。请回复本贴或是发信给我:nofce07@126.com,不胜感激!
  [求助]ModelSim问题求助
      作者: [kgb2004] 时间:[2004年6月6日 19:28:18]
      摘要:
我在ModelSim的安装目录中已经建立了ISE的仿真库,但是进行仿真时还是提示无法打开仿真库。请大侠指教!谢谢! [em12]
  怎样通过vxWorks的WDB代理获得x86的指令指针寄存器?
      作者: [kingholly] 时间:[2004年6月5日 11:52:33]
      摘要:
我想改造一个交叉gdb,它与wdb代理通讯调试i386-vxworks目标机程序,gdb从WDB读取i386目标机寄存器时,出了问题。 vxWorks的WDB代理为x86定义了一个结构REG_SET
  请教各位大虾,谁做过4口交换机设计呀!
      作者: [wwtwzf2000] 时间:[2004年6月4日 13:43:54]
      摘要:
若有,mail:wwt2002@sohu.com
  谁又windml啊,急需
      作者: [elanzj] 时间:[2004年6月4日 13:13:59]
      摘要:
跪求!!!
  [求助]rabbit2000资料
      作者: [zhujingqi] 时间:[2004年6月4日 11:26:28] 回复:[3条]
      最后回复: 标题:[去这里看看吧] 回复人:[Benren] 回复时间:[2005-3-17 18:09:50]
      摘要:
各位前辈:谁有rabbit2000的中文资料发给小弟拜读拜读?小弟不胜感激,在这先谢了。 zhujingqi77@sohu.com
  请求帮助:关于DTMF拨号的问题?
      作者: [sumbren] 时间:[2004年6月4日 1:45:25] 回复:[2条]
      最后回复: 标题:[交换机接受必须...] 回复人:[nw75320] 回复时间:[2004-7-7 19:41:33]
      摘要:
我在毕业设计调试智能自动拨号系统,现在可以检测到双音多频芯片--MT8880可以发出正确的信号,发号的同时还可以听到拨号音,但是,似乎交换机总不能接受该信号,反正就没有拨通,请问交换机可以识别的双音多
  uc/os好打侠求救
      作者: [c-asm] 时间:[2004年6月3日 20:40:57]
      摘要:
uc/os的邵贝贝的书说:uc/os在移植时的汇编函数OSStartHighRdy()中一定要调用OSTaskSwHook(),可以网上的一些成功移植例子特别是深圳英蓓特公司的at91的移植例子中都不
  [求助]哪位老大有6*8或者6*12点阵英文字库?
      作者: [撞地球] 时间:[2004年6月3日 15:00:50]
      摘要:
小弟继续,请帮忙:) cbl_2k@sina.com.cn
  请教VxWorks系统中CPU的选择?ARM还是PPC?
      作者: [winder77] 时间:[2004年6月3日 9:18:20] 回复:[1条]
      最后回复: 标题:[看你的数据处理...] 回复人:[jessesung] 回复时间:[2004-6-7 9:21:36]
      摘要:
我们需要开发一个基于VxWorks的嵌入式无线网络通信设备,由于是初级入门,不知道如何选择CPU,希望各位大虾给我们提供一些信息。 工作频率:2.4GHz 扩频方式:直扩/跳频 zhaoyuti
  请问高手中的高手:“射级耦合电平”具体是怎么定义的??
      作者: [cachy] 时间:[2004年6月3日 0:11:52]
      摘要:
请问高手中的高手:“射级耦合电平”具体是怎么定义的??查了很多地方都找不到,看来只有高手中的高手能解决我的问题了!!!![em03][em04][em05][em01][em08][em07]
  请问哪里有gdb源码的程序结构的材料?
      作者: [kingholly] 时间:[2004年6月2日 20:02:39]
      摘要:
最近要为gdb加上支持i386目标机,和vxworks的WDB代理通讯的的调试功能,我手里有powerpc-vxworks的gdb,原作者说只要为gdb添上读写寄存器、与目标CPU有关的功能就可以了,
  求助!!!
      作者: [鬼手] 时间:[2004年6月2日 16:44:40]
      摘要:
那位大侠有MAX232的中文资料吗?有的话能不能发下给我啊。 我的邮箱是fengshouyi@hotmail.com
  欢迎访问嵌入式世界网!
      作者: [guest] 时间:[2004年6月2日 14:48:05]
      摘要:
欢迎访问嵌入式世界网! http://www.embedworld.com
  请教各位:有谁知道可以将html网页文件输入到eeprom的软件啊?
      作者: [xyhok] 时间:[2004年6月2日 12:02:47]
      摘要:
谢谢
  请教E5112的使用
      作者: [heheflood] 时间:[2004年6月2日 9:51:06]
      摘要:
请教E5112的使用 刚从朋友那里搞到一个芯片,但是没有e5112的资料(不齐全,不会用),希望请教哪位高人帮忙,指导我:e5112的从拨号到ppp再到tcpip直到传送数据,整个操作流程是什么?有

每页20 帖子数7096分页:
(7096条/共355页 每页20条) 首页 前百页 前十页 [248] [249] [250] [251] [252] [第253页] [254] [255] [256] [257] [258] 下十页 下百页 尾页