导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→求助!本人学习时遇到一个问题,请各位大侠指教![chuxuezhe]

 *第22701篇: 求助!本人学习时遇到一个问题,请各位大侠指教!

  
楼 主:chuxuezhe 2004年6月7日09:08
 求助!本人学习时遇到一个问题,请各位大侠指教!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity sync is
    port(clk:in std_logic;
         irq:out std_logic);
end sync;

architecture dataflow of sync is
signal temp:std_logic_vector(3 downto 0);
begin
label1:process
       variable cou1:std_logic_vector(3 downto 0):="0000";
begin 
      wait until clk='1';
      cou1:=cou1+1;---  请教各位大侠这条语句始终不能通过编译,为什么啊!
      temp  <=cou1;
end process;

label2:process
begin
    wait until   clk='1';
       if(temp="1111")then
       irq  <='0';
       else
       irq  <='1';
       end if;
end process;
end dataflow;


>>>>>>对该主题发表你的看法

本主题贴数1,分页: [第1页]


[上一篇主题]:那位大侠帮我解释一下程序!!!(救命呀)thank you !!!!!

[下一篇主题]:求救啊~~各位大虾啊~~~~~~~~~~8031