这种情况无需用时序逻辑设计,用组合逻辑如下:
library IEEE;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
ENTITY rh1 IS
PORT( key1: IN std_logic;--定义一个输入
digital8: OUT std_logic_vector(7 downto 0)--8个输出
);
END rh1;
ARCHITECTURE xq OF rh1 IS
--signal k :std-logic-vector(7 downto 0);
begin
digital8 <="00000000" when key1='0' else --数码管全亮
"11111111" ; --数码管全灭
end xq;
发表时间:2003年4月23日13:47:02