导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→嵌入式系统 第234页

当前论坛版面:嵌入式系统 今日贴子 0      提示:没有登陆的用户不能看到还没有审核的帖子

发新帖   发起新投票 老古 huzimax 哈佛 君主 
  哪位大大可以帮我选一下型号?谢谢!
      作者: [luor] 时间:[2004年9月19日 11:26:50]
      摘要:
DAC、单通道、8BITS、直插、串行输入、BUFFER 输出、单电源(+5V)供电、RAIL-TO-RAIL、基准源内外无所谓、建立时间无所谓、可以在中发买得到、价格几何?谢谢!~
  发布一款真正的bootloader软件
      作者: [ssgyk] 时间:[2004年9月18日 13:22:56]
      摘要:
一款真正的bootloader软件 解决了代码共享,usb下载等功能。 DevARM 永远给你出乎意料的发现。 http://www.devarm.com
  跪求Xilinx的EDK 6.2i
      作者: [gdlyq] 时间:[2004年9月18日 7:57:30]
      摘要:
谁有Xilinx EDK 请给小弟(gdlyq@163.com)一分,不胜感激。
  请大家推荐一款能接DSP的TFT液晶控制器和相应液晶屏的型号
      作者: [xiaoyaoyou] 时间:[2004年9月17日 21:50:57]
      摘要:
想用DSP控制一款640×480的TFT液晶,不知道有什么好的控制器,请大家推荐一两款吧,项目紧急,多谢了
  请问那由lwip的下载呀,我在网上找了半天就是看不到呀,请指点迷经!!!
      作者: [lishu2008ic] 时间:[2004年9月17日 16:09:37]
      摘要:
无内容
  关于RTL8139
      作者: [灵犀] 时间:[2004年9月17日 15:58:01] 回复:[2条]
      最后回复: 标题:[sdfsafsafasd] 回复人:[huangfushan] 回复时间:[2008-1-25 17:57:30]
      摘要:
为什么我的RTL8139焊上去后晶体不起振呢?我用的是25MHz的晶体。
  请教存储器问题?
      作者: [yanghl] 时间:[2004年9月17日 15:57:00]
      摘要:
哪位大侠能给在下介绍一下目前比较通用的存储器,具体型号有哪些,容量一般用多大的?谢谢
  初学者:请教关于UC/OS-II代码移植的问题
      作者: [zzm4455] 时间:[2004年9月17日 14:40:11]
      摘要:
在μC/OS-Ⅱ第8章提到:要使μC/OS-Ⅱ正常运行,处理器必须满足5个要求: 其中 1.处理器的C编译器能产生可重入代码。 问“可重入代码是什么意思”? 4.处理器支
  关于arm,老大们请进!!
      作者: [jiming] 时间:[2004年9月17日 12:09:22]
      摘要:
各位老大!小弟想学习arm,各位可否告我要准备些什么设备,还有要开哪些基础的东西?!这些比较菜的问题一般查不到,所以我希望热心的大哥们给小弟以帮助,说得详细些!非常感谢!!
  三菱单片机应用求助!!!!
      作者: [guest] 时间:[2004年9月17日 11:54:07]
      摘要:
哪位老大能帮帮我啊! 我在用TM编译程序时总是出现“parse error at near 某一语句 ” 谁能告诉我是什么原因啊?怎样解决? 请各位高手赐教!
  三菱单片机应用求助!!!!
      作者: [guest] 时间:[2004年9月17日 11:51:53]
      摘要:
无内容
  提供arm嵌入式资料的网站(uboot,开发板资料)
      作者: [arm9] 时间:[2004年9月17日 8:38:56] 回复:[1条]
      最后回复: 标题:[没有什么东西啊...] 回复人:[hakeem] 回复时间:[2004-9-18 16:51:59]
      摘要:
www.cmtekchina.com[URL=http://www.cmtekchina.com]http://www.cmtekchina.com[/URL]
  高手求助:vhdl程序设计
      作者: [飞天一剑] 时间:[2004年9月16日 23:53:27] 回复:[1条]
      最后回复: 标题:[[贴图]] 回复人:[飞天一剑] 回复时间:[2004-9-16 23:56:08]
      摘要:
我想用cpld来实现数值转换,下面是vhdl程序,编译通过,但是仿真波形不对,data_out的输出有问题,仿真波形在下一个贴中,请各位高手帮帮小弟。 library IEEE;
  请教jflash问题,请高手们指点一下
      作者: [xiaoyaoyou] 时间:[2004年9月16日 22:32:37]
      摘要:
intel的sitsang板上xscale(PXA250)和xilinx的一片cpld通过菊花链结构连在一起,我现在想把这片cpld换成altera的,不知道原来的jflash还能不能继续使用?是不是
  新鲜出炉的ARM 4.3
      作者: [ssgyk] 时间:[2004年9月16日 20:26:33]
      摘要:
[URL=http://www.devarm.com/ShowPost.asp?id=229]http://www.devarm.com/ShowPost.asp?id=229[/URL]
  红外线键盘
      作者: [guest] 时间:[2004年9月16日 18:32:57]
      摘要:
我最近做一个案子,里面需要用红外线键盘(和PC机的一样),我想 请问的是红外线键盘的通讯协议是否兼容的(比如数据格式和波特率 等等),是否不同厂家的键盘可以和其他厂家的接受器合用,(它是 将PS
  求助:在嵌入式系统中连接PCI主总线设备
      作者: [jynws] 时间:[2004年9月16日 16:02:41]
      摘要:
各位DX,如果要在嵌入式系统中连接PCI主总线设备,CPU选择TI公司的DSP,该如何实现才好
  嵌入式
      作者: [jynws] 时间:[2004年9月16日 15:58:22]
      摘要:
无内容
  DC/DC电源求助!!!!!!
      作者: [wholi] 时间:[2004年9月16日 11:49:56] 回复:[2条]
      最后回复: 标题:[多谢,我去] 回复人:[wholi] 回复时间:[2004-9-16 18:34:40]
      摘要:
要求DC12V电源输入,DC+5V,-5V电源双路输出,请各位大侠指点,用什么芯片,电路怎样设计?
  求助!!!!
      作者: [guest] 时间:[2004年9月16日 9:47:56]
      摘要:
哪位老大知道这个问题怎样解决啊: 在用renesas的TM调试程序时出现的:"parse error at " 是什么原因啊? 怎样解决,请赐教!!

每页20 帖子数7096分页:
(7096条/共355页 每页20条) 首页 前百页 前十页 [229] [230] [231] [232] [233] [第234页] [235] [236] [237] [238] [239] 下十页 下百页 尾页