访问手机版页面
你的位置:老古开发网 > 其他 > 正文  
CPLD在信号滤波和抗干扰中的应用
内容导读:

    摘要:滤波和抗干扰是任何智能仪器系统都必须考虑的问题。在传统的应用系统中,滤波部分往往要占用较多的软件资源和硬件资源。复杂可编程逻辑器件(CPLD)的出现,为解决这一问题开辟了新的途径,条用CPLD实现滤是一种高效可靠的方法。介绍了利用MAX+PLUS Ⅱ对CPLD编程来实现对传感器和按键信号滤波和抗干扰。该方法已在产品开发中获得了成功应用。

    关键词:复杂可编程逻辑器件 滤波 抗干扰

1 滤波和抗干扰概述

   
单片机应用系统的输入信号常含有种种噪声和干扰,它们来自被测信号源、传感器、外界干扰源等。为了提高测量和控制精度,必须消除信号中的噪声和干扰。噪声有两大类:一类为周期性的;另一类为不规则的。前者的典型代表为50Hz的工频干扰,一般采用硬件滤波,使用积分时间等于20ms的整数倍的双积分A/D转换器,可有效地消除其对信号的影响。后者为随机信号,它不是周期信号,可用数字滤波方法予以消弹或滤除。所谓数字滤波,就是通过一定的计算或判断程序来减少干扰信号在有用信号中的比重,故实际上它是一种软件滤波。硬件滤波具有效率高的优点,但要增加系统的投资和设备的体积,当干扰的性质改变时我们往往不得不重新搭接电路;软件滤波是用程序实现的,不需要增加设备,故投资少、可靠性高、稳定性好,并且可以对频率很低的信号实行滤波,随着干扰的性质改变只需修改软件即可,具有灵活、方便、功能强的优点,但要占用系统资源、降低系统的作效率。一个传统的实际系统,往往采用软件和硬件相结合的滤波方法,这种结合是在两者的优缺点之间的寻找一个平衡点。

    硬件抗干扰主要采用隔离技术、双绞线传输、阻抗匹配等措施抑制干扰。常用的隔离措施有采用A/D、D/A与单片机进行隔离以及用继电器、光电隔离器、光电隔离固态继电器(SSR)等隔离器件对开关量进行隔离。

2 利用CPLD实现数字滤波及抗干扰

   
这里介绍的采用CPLD实现信号滤波及抗干扰的方法已经在智能仪器泵冲测量仪的开发中得到验证。下面就如何采用CPLD对系统的四路外部传感器脉冲信号和四路按键信号实现滤波、锁存、中断申请等功能进行阐述。

2.1 传感器信号滤波

    由于要对传感器信号进行数字滤波,CPLD要引入时钟信号,因而我们对CPLD定义一输入端clki,作为数字滤波器的计数脉冲输入端。Clki由单片机定时器1定时产生500Hz脉冲。以一路为例,泵冲信号滤波部分如图1所示。

    oo0为经电平转换后接近开关输出的信号。当oo0为低电平时,说明泵1未动作,封锁三端与门,时钟信号clki无法通过,计数器bcn3n不计数。同理,当aa4为高电平时,亦封三端与门(至aa4如何变化及作用下文有介绍)。故当oo0为高、aa4为低时,clki接通计数器CLK端。当oo0和clr端任意一个为低时,计数器清零。计数器ban3n由MAX+PLUS Ⅱ的文本编程器编程,其文本如下:

    SUBDESIGN bce3n

    ( clk:INPUT;

    q[4..0]:OUTPUT;)

    VARIABLE

    count[4..0]

    BEGIN

    count[ ].clk=clk

    count[ ]=count[ ]+1

    q[ ]=count[ ]

    END

    其输出aa[4..0]等于计数器当前的计数值。aa4就是aa[4..0]的最高位,当计数值达到10H(十六进制)时,即aa4为高电平时,三端与门封锁,计数器保持10H不变,除非有清零信号。其波形如图2所示。

2.2 中断申请信号产生

    中断申请信号由图3电路生成。

    图中四个计数器的最高位接四端或门,只要有一路信号为高,或门输出就为高,并经过非门,输出低电平,接于D触发器的时钟端。D触发器的D端始为高电平。D触发器为上升沿触发器,若输入D为1,在时钟脉冲的上升沿,把“1”送入触发器,使Q=“1”。只有在CLRN端的clr信号有效时,D触发器清零,Q=“0”。其波形如图4所示。

    如果多路脉冲信号有重叠,例如有两路信号发生重叠,而中断信号只有在四路都为低时才会产生,因此需要对信号进行锁存。本设计采用D触发器来实现锁存,电路如图5所示。

    当aa4为由低变高时,a4变为高电平,由clr信号清零。

2.3 按键消抖及数据输出

    按键的消抖也通过计数器来实现,电路原理图如图6所示。

    按键未按下时为高电平,封锁时钟信号。按键按下时,计数器开始计数,当计数器输出最高位为“1”时,亦封锁时钟信号,防止按键时间不定使需要的k14信号定。当按键信号为高电平时,对计数器清零,防止计数器累加干扰信号而造成误动作。波形如图7所示。

    泵冲和按键经处理后的信号a4、b4、c4、d4、k04、k14、k24、k34,经74373挂于数据总线,如图8所示。74373使能信号由图9电路产生。

    它采用文本编辑,具体如下:

    SUBDESIGN bcn5n1

    (

    a15,all,a10,a9,a8,wr,rd:INPUT;

    en373:OUTPUT;

    )

    BEGIN

    En373=!(a15&a11&!a10&!a9&!wr&!rd)

    END

    若单片机发出读81XXH地址中数的信号,则选通此373,读出其数。

    以上介绍了采用CPLD实现数字滤波及抗干扰。该设计已在产品开发中成功应用,效果良好,达到了预期的目的。前面我们已经提到,一个传统的设计,往往在软件滤波和硬件滤波两者的优缺点之间寻找一个平衡点。用我们通常的成语来讲,这样做可以说是扬长避短或取长补短。一直以来,我们都把这两个成语看成是褒义的,不过细细品味一下其中韵味似乎并不完全好的代表:发扬长处固然值得称道,但回避短处未必是解决问题的最佳途径,毕竟回避并不能解决问题的本质,哪怕是取其长处来弥补短短,也不是长远出路。应该直接去克服掉短处为佳。采用CPLD则可将软硬件滤波的优点兼而有之,同时将它们的缺点摒弃,从而达到扬长去短的目的。用CPLD实现滤波只占用较少的资源,故可用其实现其它数字电路,同时实现滤波。

标签:
来源:电子技术应用 作者:重庆大学电气工程学院(400044)刘晓明 安 敏 黄克初 时间:2006/5/7 0:00:00
相关阅读
推荐阅读
阅读排行
最近更新
商品推荐