导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→急!急!急!我做毕业设计时遇到的问题,请帮忙解决![solo8]

 *第11496篇: 急!急!急!我做毕业设计时遇到的问题,请帮忙解决!

  
楼 主:solo8 2003年5月6日23:57
 急!急!急!我做毕业设计时遇到的问题,请帮忙解决!
library ieee;
use ieee.std_logic_1164.all;

entity seg7dec is
   port(bcdin : in std_logic_vector(3 downto 0);
        segout : out std_logic_vector(6 downto 0));
end seg7dec;

architecture ver3 of seg7dec is
begin
   with bcdin select
      segout   <= "1000000" when x"0",
          "1100111" when x"1",
          "0001001" when x"2",
          "0000011" when x"3",
          "0100101" when x"4",
          "0001001" when x"5",
          "0001000" when x"6",
          "1100011" when x"7",
          "0000000" when x"8",
          "0000001" when x"9",
          "-------" when others;
end ver3;

请帮忙给我解释一下这个selection语句的意思,特别是每个when后面的那些句子!??
为什么不是"0001"…… 而是x"1"……?x"1"表示什么意思??请教请教

  
2楼:荒原野草 2003年5月7日08:44
 X"1":十六进制的数字1;
B"1"

X"1":十六进制的数字1;
B"1":二进制的数字1,B可以省略;
O"1":八进制的数字1.

>>>>>>对该主题发表你的看法

本主题贴数2,分页: [第1页]


[上一篇主题]:[原创] 有关毕设的一点想法

[下一篇主题]:请教老古一个笨笨的问题。。。