导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→modelsim后仿真verilog代码,怎么看模块内定义的

* 91277: modelsim后仿真verilog代码,怎么看模块内定义的reg的变化?

   yinchb 
yinchb发表的帖子 

 modelsim后仿真verilog代码,怎么看模块内定义的reg的变化?
verilog编写的代码,在modelsim里进行fpga的post-map仿真,怎么看verilog代码里定义的reg寄存器的值?现在只能看到模块端口的波形,模块内部定义的reg全部被变成门级的硬件了。
谢谢

发表时间:2006年4月6日19:45:05

  
回复该帖

本主题共有 1 帖,分页:>>>>>该主题的所有内容[1]条

 *树形目录 只列出部分跟帖的标题以及简单的摘要信息 该主题的部分跟帖如下:

[上一篇帖子]:资料已经发了!!上几层楼主,资料已经发了!!
[下一篇帖子]:求购打印控制板源程序求购整套的打印控制板源程序和原理图资料,价格好商量,电话:13936671345