导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→是不是也要接一个上拉电阻呢?

* 43075: 请教各位DX,我用8255接了个拨码开关,当断开时,为什么读到的数据不是1呢?

   yangjl 
yangjl发表的帖子 

 是不是也要接一个上拉电阻呢?


发表时间:2003年10月8日10:38:29

  
回复该帖

本主题共有 3 帖,分页:>>>>>该主题的所有内容[3]条

 *树形目录 只列出部分跟帖的标题以及简单的摘要信息 该主题的部分跟帖如下:

  43098.[详细]大家有没有遇到这种情况啊,说说啊!
摘要:......(无内容)
- [yangjl][1029次] 2003年10月8日

[上一篇帖子]:有关于PCI的资料请发到sangti@163.com有重谢[em06]
[下一篇帖子]:找兼职本人在厦门地区。有厦门岛内需要兼职搞单片机开发的公司吗?linkaimu@sina.com