急!急!急!我做毕业设计时遇到的问题,请帮忙解决!
library ieee;
use ieee.std_logic_1164.all;
entity seg7dec is
port(bcdin : in std_logic_vector(3 downto 0);
segout : out std_logic_vector(6 downto 0));
end seg7dec;
architecture ver3 of seg7dec is
begin
with bcdin select
segout <= "1000000" when x"0",
"1100111" when x"1",
"0001001" when x"2",
"0000011" when x"3",
"0100101" when x"4",
"0001001" when x"5",
"0001000" when x"6",
"1100011" when x"7",
"0000000" when x"8",
"0000001" when x"9",
"-------" when others;
end ver3;
请帮忙给我解释一下这个selection语句的意思,特别是每个when后面的那些句子!??
为什么不是"0001"…… 而是x"1"……?x"1"表示什么意思??请教请教
发表时间:2003年5月6日23:57:41