No.9258 作者:UIL 邮件:UIL78@163.COM ID:1352 登陆:1次 文章数:2篇 最后登陆IP: 最后登陆:2002/7/21 20:00:00 注册:2002/7/21 20:00:00 财富:11 发帖时间:2002/7/22 19:00:00 发贴者IP:202.115.10.63 标题:UIL:硬件:帮忙看看,我一点信心也没有了 摘要:No.9258硬件:帮忙看看,我一点信心也没有了 看看这VHDL的东西吧,什么错误? Library ieee; use ieee.std_logic_1164.all; Entity fifo is port( datain:in std_logic_vector(6 downto 0); dataout:out std_logic_vector(7 downto 0); empty,full:out std_logic; rd,wr,en,clk,clr:in std_logic ); end fifo; Architecture rtl of fifo is type mem is array (0 to 15) of std_logic_vector(7 downto 0); signal ram:mem; signal in_full,in_empty:std_logic; signal a:std_logic_vector(7 downto 0); begin empty<=in_empty; full<=in_full; dataout<=a; process(clk,clr,rd,en,wr) variable wp:integer; begin if (clk'event and clk='1') then if en='1'then if clr='1' then in_empty ......
>>返回讨论的主题
|