No.79219 作者:hellobb 邮件:doowooji@163.com ID:35075 登陆:2次 文章数:2篇 最后登陆IP:219.228.116.57 最后登陆:2005/6/13 21:25:27 注册:2005/5/29 11:40:30 财富:111 发帖时间:2005/6/7 22:06:23 发贴者IP:219.228.116.57 标题:hellobb:[原创]数组的调用问题 摘要:No.79219[原创]数组的调用问题 下面这个程序到底哪里错了,哪位高手给点拨一下,type rom_type is array (0 to 127) of unsigned(7 downto 0);这一句的最后到底是unsigned 还是bit_vector还是 std_logic_vector? 我三个都换过了,就是不对,到底调用16进制数组有什么要求? library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity CHAR_FONT is Port ( SCAN_ADDR : In std_logic_vector(10 downto 0); FONT_CODE : Out STD_LOGIC_VECTOR(7 downto 0) ); end CHAR_FONT; -- -- This character font rom only supports 16 hex numbers -- architecture BEHAVIORAL of CHAR_FONT is type rom_type is array (0 to 127) of unsigned(7 downto 0); constant FONT_TAB: ROM_TYPE(0 to 127) := (X"7C", X"C6", X"CE", X"DE", X"F6", X"E6", X"7C", X"00", -- D_30 '0' X"30", X"70", X"30", X"30", X"30", X"30", X"FC", X"00", -- D_31 '1' X"78", X"CC", X"0C", X"38", X"60", X"CC", X"FC", X"00", -- D_32 '2' X"78", X"CC", X"0C", X"38", X"0C", X"CC", X"78", X"00", -- D_33 '3' X"1C", X"3C" ......
>>返回讨论的主题
|