No.78892 作者:hellobb 邮件:doowooji@163.com ID:35075 登陆:2次 文章数:2篇 最后登陆IP:219.228.116.57 最后登陆:2005/6/13 21:25:27 注册:2005/5/29 11:40:30 财富:111 发帖时间:2005/6/3 11:12:51 发贴者IP:219.228.116.57 标题:hellobb:[原创]关于textio测试程序的编译问题 摘要:No.78892[原创]关于textio测试程序的编译问题 下面这个程序是书上的例子,但编译总出错,说是:“std_logic_textio" must in the library.但要是我去掉第三行, 则后面的read()都不可以访问编译,不知道怎么回事,高人请点拨一下好吗?我弄了2天了,也找不到原因。谢谢了! library ieee; use ieee.std_logic_1164.all; use std.textio.all; use ieee.std_logic_textio.all; use work.cutpkg.all; entity testcunt is end testcunt; architecture test2 of testcunt is file intest:text is in "test.in"; signal clk ,rest:std_logic; signal cnt:std_logic_vector(2 downto 0); begin U1:count port map(clk=> clk,rest=> rest,cunt=> cnt); proc ......