No.62624 作者:飞天一剑 邮件:goodluckforyou@126.com ID:23817 登陆:3次 文章数:3篇 最后登陆IP:61.185.202.125 最后登陆:2005/4/13 16:41:33 注册:2004/7/24 17:27:14 财富:114 发帖时间:2004/9/16 23:53:27 发贴者IP:61.185.202.125 标题:飞天一剑:高手求助:vhdl程序设计 摘要:No.62624高手求助:vhdl程序设计 我想用cpld来实现数值转换,下面是vhdl程序,编译通过,但是仿真波形不对,data_out的输出有问题,仿真波形在下一个贴中,请各位高手帮帮小弟。 library IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; use IEEE.Std_logic_unsigned.all; Entity part11 is Port( clk : IN std_logic; data_in: in STD_LOGIC_VECTOR(15 DOWNTO 0); data_out : out STD_LOGIC_VECTOR(15 DOWNTO 0)); end part11; architecture rtl of part11 is begin process (clk) variable q1 : STD_LOGIC_VECTOR(15 DOWNTO 0) ; variable q2 : STD_LOGIC_VECTOR(15 DOWNTO 0); variable q3 : STD_LOGIC_VECTOR(19 DOWNTO 0):="00000000000000000000"; variable q4 : STD_LOGIC_VECTOR(19 DOWNTO 0):="00000000000000000000"; variable q5 : STD_LOGIC_VECTOR(19 DOWNTO 0):="00000000000000000000"; variable q6 : STD_LOGIC_VECTOR(19 DOWNTO 0) ; begin if( ......
>>返回讨论的主题
|