No.61705 作者:lwq911 邮件:lwq911@126.com ID:24777 登陆:2次 文章数:1篇 最后登陆IP:222.95.58.234 最后登陆:2004/9/5 16:18:18 注册:2004/8/22 10:59:39 财富:106 发帖时间:2004/9/5 16:18:18 发贴者IP:222.95.58.234 标题:lwq911:很是奇怪的事情!!! 摘要:No.61705很是奇怪的事情!!! 在用不同的软件仿真例子的时候出现以下怪事,请大家来讨论讨论!程序如下: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity latch is Port ( D:in std_logic;ENA: in std_logic;Q:out std_logic ); end entity latch; architecture Behavioral of latch is signal sig_save:std_logic; begin process(D,ENA) begin if ENA='1' then sig_save <=D; end if; Q <=sig_save; end process; end architecture Behavioral; 1。在MAXPLUSII里,进行功能仿真的时候会,如果你在激励测试文件*.scf文件的信号D和ENA在很近的位置同时变化时(注意是比较接近的时间轴而不是在同一时刻)在编译时会出现一个提示为0周期的震荡错误!(这个是为什么呢?)避免了这个后功能仿真和时序仿真都是正确的!MAXPLUSII版本为10 ......
>>返回讨论的主题
|