No.56086 作者:chuxuezhe 邮件:hanlianfu@etang.com ID:18269 登陆:5次 文章数:3篇 最后登陆IP:61.167.200.3 最后登陆:2004/7/1 15:05:40 注册:2004/2/19 15:26:56 财富:119 发帖时间:2004/6/7 9:08:46 发贴者IP:218.8.222.133 标题:chuxuezhe:求助!本人学习时遇到一个问题,请各位大侠指教! 摘要:No.56086求助!本人学习时遇到一个问题,请各位大侠指教! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity sync is port(clk:in std_logic; irq:out std_logic); end sync; architecture dataflow of sync is signal temp:std_logic_vector(3 downto 0); begin label1:process variable cou1:std_logic_v ......
>>返回讨论的主题
|