No.14932 作者:tuoniao35 邮件:tuoniao35@sina.com.cn ID:4915 登陆:1次 文章数:199篇 最后登陆IP: 最后登陆:2001/11/16 9:53:00 注册:2001/11/16 9:53:00 财富:684 发帖时间:2002/11/25 12:53:00 发贴者IP:211.99.79.117 标题:tuoniao35:硬件:关于CRC的VHDL实现疑问,请指点 摘要:No.14932硬件:关于CRC的VHDL实现疑问,请指点 书上和BBS上有很多此类介绍,比如用移位寄存器. 我也曾仿真实现过,后来发现.书上介绍的大多是针对数据高位进,而CRC也是 高位出的情况. 现在我面临一个数据低位进,要求CRC也低位出的问题,可是我怎么也调不出来. 以下是高位进,高位出的程序,那位兄台可以改成低位数据进,CRC也是低位出呢? --************************************************** --CRC生成多项式为P(x)= X5+X4+X2+1(即110101) --************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --************************************************** entity crc_5 is port( rst : in std_logic; clk : in std_logic; din : in std_logic; crc_en: in std_logic; --其高电平要一直持续到din输入完毕 dout : out std_logic ); end crc_5; --************************************************** architecture a of crc_5 is signal shift_reg : std_logic_vector(4 downto 0); begin process(rst,clk) begin if(rst='1') then shift_reg<=(others=>'0'); &nbs ......
>>返回讨论的主题
|