导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→发表看法:[vfdff][讨论]quatrus 软件的奇怪波形



No.105824
作者:vfdff
邮件:vfdff@tom.com
ID:52561
登陆:10次
文章数:15篇
最后登陆IP:219.245.123.183
最后登陆:2007/9/7 11:53:25
注册:2006/5/5 11:38:09
财富:158
发帖时间:2007/5/13 19:09:45
发贴者IP:219.144.133.171
标题:vfdff:[讨论]quatrus 软件的奇怪波形
摘要:No.105824[讨论]quatrus 软件的奇怪波形 

-- FPGA 设计及应用(第二版) page 102
-- 两进程结构体的结构

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity two_process is
 port(
  d : in std_logic;
  q   : out std_logic;
  c1,c2  : out std_logic_vector(2 downto 0);
  cc1,cc2 : out std_logic_vector(2 downto 0);
  ccc1,ccc2  : out std_logic_vector(2 downto 0)
 );
end two_process;
------------------------------

architecture structural of two_process is
 signal a: std_logic := '0'; -- a,b 初始状态必须为 0,否则进不了两个进程 
 signal b: std_logic := '0';
 signal count1,count2,count3 : std_logic_vector(2 downto 0) := "000";
begin
 p1:process(d,b)
  variable d1,d2 : integer range 0 to 7 := 0;
 begin
  if(b='1') then
   q   <= d;
   -- qq   <= TO_STD_LOGIC(q'transaction);
   -- Error  ......

>>返回讨论的主题



  发表回复
用户名   *您没有注册?
密码   *
验证码   * .
标题   *
心情
随便说说    我回答你    最新发现    得意的笑   
气死我了    真是没劲    坚决同意    表示反对   
大家过来    好奇怪哟    懒得理它    大家小心   
文件上传
内容


字体:      字体大小:    颜色:
粗体 斜体 下划线 居中 超级连接 Email连接 图片 Flash图片 Shockwave文件 realplay视频文件 Media Player视频文件 QuickTime视频文件 引用 飞行字 移动字 发光字 阴影字 查看更多的心情图标 背景音乐
点击加入表情
                         
选项
有回复时用短消息通知您?

   




老古网执行:16毫秒 最大:8312毫秒 查询6次