No.103283 作者:zimiqi 邮件:zimiqi@263.net ID:50769 登陆:7次 文章数:6篇 最后登陆IP:222.191.222.140 最后登陆:2008/5/5 15:39:49 注册:2006/4/6 16:31:55 财富:121 发帖时间:2007/3/12 9:56:19 发贴者IP:222.191.212.231 标题:zimiqi:大虾们帮忙看看 这个怎么编译不过 不知道错在哪里 摘要:No.103283大虾们帮忙看看 这个怎么编译不过 不知道错在哪里 library ieee; use ieee.std_logic_1164.all; entity cyregister is port ( clk : in std_logic; datain : in std_logic_vector( 7 downto 0 ); dataout : out std_logic_vector( 7 downto 0 ) ); end entity cyregister ; ......
>>返回讨论的主题
|