导航: 老古网老古论坛XMOS公共讨论区XMOS开源项目区单片机程序设计嵌入式系统广告区域
→单片机程序设计 第982页

当前论坛版面:单片机程序设计 今日贴子 0      提示:没有登陆的用户不能看到还没有审核的帖子

发新帖   发起新投票 老古 Kuang-chingTsui 幽游梦蝶 wanghaibin 哈佛 君主 
  如何封装自己的51程序库?
      作者: [神采飞扬] 时间:[2003年6月8日 11:42:44]
      摘要:
刚才我在51BBS上面问,没人回答我
  关于uA741 !
      作者: [skeyy] 时间:[2003年6月8日 10:27:04] 回复:[1条]
      最后回复: 标题:[741一端接0832,...] 回复人:[huzimax] 回复时间:[2003-6-8 11:51:35]
      摘要:
我在0832后接uA741进行电流到电压的转换,可是在741的输出端只输出+15V的电压,我是用方波信号进行检测,请问这是什么原因?谢谢!
  希望大家给一个建议
      作者: [fllong] 时间:[2003年6月8日 10:25:50] 回复:[2条]
      最后回复: 标题:[可是我知道的都...] 回复人:[fllong] 回复时间:[2003-6-8 19:02:37]
      摘要:
我想开发一个小的单片机系统,要用到CAN通讯,大家能否给我一个建议:是用内置CAN的芯片好呢,还是单片机外加CAN控制器和收发器?
  有用过凌阳SPCE061A 吗?
      作者: [guest] 时间:[2003年6月8日 10:24:35]
      摘要:
能评价一下吗? 值得一学吗?
  有人对pic12c508比较熟悉吗?向各位高手求助了
      作者: [yinger_123] 时间:[2003年6月8日 9:00:02] 回复:[1条]
      最后回复: 标题:[PIC12C508没有中...] 回复人:[guest] 回复时间:[2003-6-15 23:55:32]
      摘要:
我要用pic12c508实现方波输出和电路的保护功能,使用该单片机的内部震荡。我通过编程使某个IO口输出方波,但同时,单片机必须监测电路状态,异常时中断方波输出,它的定时器没有中断,如何协调两者关系?
  诚心求助!我想用一片78L05和一片MC1403获得基准电压2.5V,
      作者: [wangshengwen] 时间:[2003年6月8日 8:42:26] 回复:[13条]
      最后回复: 标题:[为什么不用更简...] 回复人:[ruma] 回复时间:[2003-6-10 0:30:57]
      摘要:
诚心求助!我想用一片78L05和一片MC1403获得基准电压2.5V, 可以吗?,是不是也可以获得5V的基准电压。还有一个问题是,78L05的输入端有限制参数吗?是不是连接时,78L05
  各位高手,请教89C51+85C30进行串口通信
      作者: [xiao012] 时间:[2003年6月8日 8:37:49]
      摘要:
高手,请教一下,89C51+85C30进行串口通信通信时发的数据都正确,可是收的数据有的正确有的不正确,是什么问题啊?
  热 释 电 红 外 控 制 I C
      作者: [v-e] 时间:[2003年6月7日 22:41:08] 回复:[1条]
      最后回复: 标题:[哪里有卖阿?有...] 回复人:[我是一条鱼] 回复时间:[2003-6-8 9:00:00]
      摘要:
请 问 谁 有 热 释 电 红 外 控 制 I C CS9803GP  的 详 细的 资 料 , 其 它 功 能 能 实 现 的 I C 也 行 , 不 过 要 能 长 期 供 货 。 用 于
  关于pic系列单片机的调试
      作者: [westup] 时间:[2003年6月7日 21:09:40]
      摘要:
用mplab-icd debugger 仿真与用mplab-sim simulator 仿真有什么优点呀?调试的方式都是一样的呀?
  谁能告我一下现在流行mcs51的那种片子?那个公司的好一些?主要用到控制上。我是菜鸟。
      作者: [cqxiaozi] 时间:[2003年6月7日 21:05:33] 回复:[5条]
      最后回复: 标题:[Atmel的比较便宜...] 回复人:[L25] 回复时间:[2003-6-8 14:24:27]
      摘要:
无内容
  [求助]请问:“上拉”和“下拉”代表什么意思,有什么用?
      作者: [dbrother] 时间:[2003年6月7日 20:51:19] 回复:[2条]
      最后回复: 标题:[接电阻在电源端...] 回复人:[xiao012] 回复时间:[2003-6-8 8:41:37]
      摘要:
无内容
  [求助]救命!!我快急死了关于pci模块实现
      作者: [danpianji] 时间:[2003年6月7日 20:34:38]
      摘要:
完成pci接口(从设备slave )最最基本的功能(就拿数据读写来说吧,纠错中断等不要求)需要那些必不可缺少的模块与信号量,怎样用代码实现。为什么我看了那么多天pci总线设计的书还是不能入门(veri
  [讨论]关于网卡的mac地址
      作者: [wcj_dl] 时间:[2003年6月7日 19:16:12] 回复:[6条]
      最后回复: 标题:[谢谢,那么我可...] 回复人:[wcj_dl] 回复时间:[2003-6-7 20:04:59]
      摘要:
想问大虾不用93c46的情况下,怎样读写mac地址,我看了老古的文章,但还是不明白,因为网卡的mac地址是唯一的,那我买来一块新的网卡,怎样知道它的mac地址呢,是厂家给的吗,还是存在网卡芯片里,如果
  交通灯
      作者: [haijun2006] 时间:[2003年6月7日 18:56:40] 回复:[1条]
      最后回复: 标题:[你可以到www.5i...] 回复人:[fengling] 回复时间:[2003-7-23 20:55:58]
      摘要:
请教各位高手一个问题,有没有交通灯设计的资料。有的话请给我发个邮件,邮箱是:haijun2001@tom.com
  想找集成电路LC/RC振荡芯片,请各位大虾介绍几个,谢谢先!!
      作者: [henry] 时间:[2003年6月7日 16:39:24]
      摘要:
无内容
  程序不运行怎么办?
      作者: [ZZW] 时间:[2003年6月7日 16:26:33] 回复:[2条]
      最后回复: 标题:[检查复位电路,...] 回复人:[huzimax] 回复时间:[2003-6-7 17:38:04]
      摘要:
我是一个刚学单片机的人,编了一个八段数码管循环点亮程序,采用debug8051仿真一切正常,但在电路上运行时豪无反应,我开始怀疑芯片不好,但换了以后涛声依旧,XTAL1电压只有0.9V,XTAL2为2
  chen
      作者: [ZZW] 时间:[2003年6月7日 16:23:48]
      摘要:
无内容
  CHEN
      作者: [ZZW] 时间:[2003年6月7日 16:23:36]
      摘要:
无内容
  串口数据接收问题?
      作者: [littleyan] 时间:[2003年6月7日 13:59:24] 回复:[2条]
      最后回复: 标题:[你说的我都做了...] 回复人:[littleyan] 回复时间:[2003-6-7 15:56:34]
      摘要:
我用单片几串口向一块芯片发送数据,返回数据不真确,我就用三根线联上加上232芯片, 在计算机的超级终端里看,我发送的数据正确,没有问题,用超级中断接受的数据也正确, 说明接受我发送数据的芯片没有问
  请教各位关于8019如何选片的问题???
      作者: [langren0468] 时间:[2003年6月7日 13:01:43]
      摘要:
无内容

每页20 帖子数30515分页:
(30515条/共1526页 每页20条) 首页 前百页 前十页 [977] [978] [979] [980] [981] [第982页] [983] [984] [985] [986] [987] 下十页 下百页 尾页